ILA采集

AMD Vivado Hardware Debug 技巧-如何在下载 Bitstream 后自动触发 ILA 采集

本文将介绍一种可适用于上述场景的方法,即在下载 Bitstream 后自动触发 ILA 采集,为硬件调试提供更多便利。