Artix-7

Xilinx Artix-7 是一种低功耗、高性能的 FPGA,特别适合需要低功耗、小尺寸和高带宽的应用,如通信、工业自动化、医疗设备、消费电子和汽车电子。Artix-7 系列 FPGA 采用 28nm 制程工艺,提供了较高的性能和资源效率。

MultiBoot案例探究 – IPROG与PROG_B的冲突

此文针对一个MultiBoot应用案例做一些深入探讨,需要读者比较熟悉FPGA的MultiBoot设计流程

米尔FPGA核心板上市!国产紫光同创Logos-2核心板和Xilinx Artix-7核心板

随着嵌入式的快速发展,在工控、通信、5G通信领域,FPGA以其超灵活的可编程能力,被越来越多的工程师选择。

面向工业应用的FPGA图像处理

本项目中,我们将使用AMD AC701评估板,在AMD Artix™ 7 FPGA上创建图像处理管道

在FPGA上快速搭建以太网

本文将介绍如何在FPGA上快速搭建以太网

快来看看用FPGA做的开源示波器

本文简单介绍一个使用FPGA做的开源示波器

米尔ARM+FPGA架构开发板PCIE2SCREEN示例分析与测试

本次测试内容为基于ARM+FPGA架构的米尔MYD-JX8MMA7开发板其ARM端的测试例程

在FPGA运行3D游戏的效率比在x86硬件高50倍

《Spheres Vs Shapes》是一款开源的 3D 光线追踪游戏,用 C 语言编写后又被转换为了 FPGA 比特流

基于AMD Xilinx Artix-7 FPGA的XMC模块

Acromag XMC-7A50-AP323 是一款基于 Xilinx Artix-7 FPGA 的 XMC 模块(嵌入式计算模块)

FPGA实时光追演示:Artix平台效能是锐龙R9-4900H CPU软解的50倍

来自两位开发者的新工作流工具,使得一枚普通的 FPGA、能够实现较传统 x86 处理器更惊人的效率提升

Artix-7 and Spartan-7 FPGAs DDR2/DDR3 PCB设计指导

本文我们介绍FPGA外设DDR2/DDR3硬件设计相关内容,包括PCB板层数估计,信号端接、信号完整性及时序考虑等问题。