PLM

如何在PLm实现mutiboot?

在PLm上实现多引导(Multiboot)功能是一个复杂的任务,但对于需要在不同应用场景中灵活切换的设备来说,它是非常有用的。以下是实现Multiboot的详细步骤和注意事项

创建 PLM

以下是在 Vitis™ 软件平台中创建 Platform Loader and Manager (PLM) elf 文件的步骤。在 Versal™ 器件中,PLM 在 PMC 内执行,并用于引导 APU 和 RPU。