加法运算

FPGA中加减乘除运算的注意问题

在进行加减乘除运算时一定要注意位宽,保证足够大的位宽,防止数据溢出,如果溢出结果就会出现错误,尤其是加和乘运算,一定要计算好位宽(位宽的计算可以都取其最大值,看最大值计算后的位宽就是最大位宽,采用这个位宽数据就溢出不了)

FPGA中有符号数和无符号数的加法运算

FPGA中有符号数和无符号数的加法运算

首先定义一个B比特的二进制数:

verilog HDL表示正数就按一般的规则即可,这里主要讲如何表示负数?