IBERT

AMD Versal AI Edge 自适应计算加速平台之GTYP收发器误码率测试IBERT实验(6)

使用IBERT测试误码率和眼图必须有个收发环通的硬件,开发板上有2个SFP光纤接口,本实验把2个光接口收发两两连接,形成2个收发环通链路。

Vivado Hardware Debug技巧 如何在IBERT眼图上添加模板

在Vivado的IP Catelog中找到IBERT,此处以UltraScale Plus系列的GTY为例

ChipScopy 示例演练 – IBERT 示例

演示:在 Jupyter Notebook 中运行 IBERT 示例。

Vivado 中ibert的使用

通过Vivado 自带的ibert工具可以对FPGA的GT进行板机的硬件调试。

利用IBERT IP核实现GTX收发器硬件误码率测试实例

本测试实例教程使用IBERT工具对与SFP连接的GTX进行5 Gbps速率下的测试。

如何用IBERT ChipsCopy做link sweep

这个简单的Demo是介绍如何用ChipScopy创建并运行link sweep。

谈谈IBERT在串行高速接口测试中的使用

我们一直推荐用户在FPGA板卡硬件调试中使用IBERT测试transceiver,以便于排除电源、参考时钟和连接介质的问题,确保transceiver可以正常进行用户需要的高速串行设计调试。

IBERT for GTY中两点总结

在IBERT测试中需要注意的有以下两个要点

ZC706评估板IBERT误码率测试和眼图扫描

IBERT(Integrated Bit ErrorRatio Tester,集成误比特率测试工具),是Xilinx提供用于调试FPGA高速串行接口比特误码率性能的工具,最常用在GT高速串行收发器测试:

(1)基于PRBS模块的误码率测试;

(2)测量眼图;

利用IBERT核对GTX收发器板级测试

IBERT(集成误码率测试仪)是xilinx为7系列FPGA GTX收发器设计的,用于评估和监控GTX收发器。IBERT包括在FPGA逻辑中实现的模式生成器和检查器,以及对端口的访问和GTX收发器的动态重新配置端口属性,还包括通信逻辑,以允许设计在运行时通过JTAG进行访问。IBERT工具用于对Xilinx FPGA芯片的高速串行收发器进行板级硬件测试。