DDR4

一文搞懂DDR4 MIG IP核详细介绍与配置

DDR4 SDRAM因其高速、大容量的特点,在FPGA设计中扮演着越来越重要的角色。本文将详细介绍DDR4 MIG IP核及其配置过程。

Versal- 在一个triplet中实现DDRMC和soft DDR4 controller

在非常多的客户应用工程中,都会用到DDR4/LPDDR4来存取大容量数据

AMD Versal AI Edge 自适应计算加速平台之PL通过NoC读写DDR4 实验 (4)

本实验通过PL端Verilog代码直接读写ddr4,主要了解NoC的配置方法

Vivado DDR4仿真

首先新建ddr的IP,具体每个参数的含义,可以参考之前写的《Virtex7 Microblaze下DDR3测试再右键》,打开IP的Example Design

Versal DDR4/LPDDR4 硬核控制器 (NOC IP) I/O planning快速指南

今天我们来介绍一下I/O planning方面的设计考虑和实现流程。

Teledyne e2v DDR4和AMD Xilinx Kintex Ultrascale FPGA的演示

观看这个短视频,了解如何连接Teledyne e2v耐辐射DDR4存储器与AMD XILINX Kintex Ultrascale FPGA KU115。

生成用于连接Teledyne e2v DDR4产品和AMD Xilinx器件的DDR4控制器IP

在这个短视频中,您将学习如何设置DDR4 控制器IP,以连接Teledyne e2v DDR4产品和Xilinx的可编程逻辑器件。

开发者分享 | 使用方法论报告5: DDR4 IP 校准后硬件故障,指示存在时序问题,但时序报告中无任何违例

本篇博客将为您演示如何使用此报告来帮助加速调试,甚至完全避免硬件故障,最后确定此问题根本原因是校准完成时出现争用状况。出现争用状况的原因是由于某个多周期约束所覆盖的时序例外,由此导致在时序分析报告中并未标记此问题。

开发者分享 | 使用方法论报告 3:时序已满足,但硬件中存在 DDR4 校准失败

本篇博文中的分析是根据真实客户问题撰写的,该客户发现硬件中存在 DDR4 校准错误,不同板以及不同构建 (build) 之间出现的故障并不一致。本篇博文旨在演示用于缩小根本原因范围以及修复此问题的部分调试技巧。

Teledyne e2v的宇航级DDR4的硬件设计指南

本文将重点介绍 PolarFire FPGA 和 Teledyne e2v DDR4T04G72 之间点对点的连接的例子,以及多个 DDR4器件如何与一片 Xilinx KU060 FPGA 连接。