IP核

Vivado中xilinx_BRAM IP核使用

Vivado2017.2 中BRAM版本为 Block Memory Generator Specific Features 8.3。BRAM IP核包括有5种类型:Single-port RAM 单端口RAM,Simple Dual-port RAM 简单双端口RAM(A写数据B读数据)

Vivado IP核锁定的解除方法

发生IP核锁定,一般是Vivado版本不同导致的,下面介绍几种方法:

Vivado IP核fifo使用指南

fifo是FPGA中使用最为频繁的IP核之一,可以通过软件自动生成,也可以自主编写。下面介绍vivado的fifo生成步骤

MII2RGMII IP核使用设计举例

本例程将 PS 的 ETH1 通过 EMIO 方式引出, 通过 EMIO 引出的 ETH 为 GMII 接口, 将其与 GMII to RGMII IP 核连接后转换成 RGMII 接口,然后与外部子卡中的 88E1512 芯片连接。在 PS 端通过 SDK 自带的 lwip echo server 例程通过子卡,以 RJ45 电口与 PC 机实现 TCP 网络通信

Xilinx RAM IP核的使用

RAM和ROM也是类似的,由于这也是常用的IP核,所有完全有必要在这里记录一下,以后用到了实际后,再补充到实际工程中。随机存储器(RAM),它可以随时从任一指定地址读出数据,也可以随时把数据写入任何指定的存储单元,且读写的速度与存储单元在存储芯片的位置无关。

关于Vivado2017.4的IFFT的IP核仿真总结【转载】

要做无线通信,必定会接触到傅里叶变换,要做傅里叶变换肯定会接触到IFFT变换,它将傅里叶变换的乘法和加法次数极大的缩减,而且在xilinx的IP中有关于IFFT的核,直接调用它可以缩短开发流程。下面开始讲解vivado关于IFFT的IP核运用步骤:

Vivado 调用HLS生成的IP核【转】

在HLS中使用帧间差分法生成了IP核,这里讲述如何在vivado中调用IP core

Vivado IP核调用

在开发PL时一般都会用到分频或倍频,对晶振产生的时钟进行分频或倍频处理,产生系统时钟和复位信号,下面就介绍一下在vivado2017.3中进行PL开发时调用IP的方法。

首先打开vivado2017.3新建一个RTL项目。

点击Flow navigator的IP Catalog 选项,如下图所示:

(SelectIO)新IP核学习的正确打开方式

作者: 做但不能忘思考,FPGA2嵌入式

当我们使用一种新的IP核的时候,遇到的最大问题是:以前根本没有接触过的新东西,我们会感到恐惧,不知道如何下手。比如,SelectIO该怎么来实现LVDS的功能:

Step1,我们新加一个接收的SelectIO的IP核,界面如下图:

Vivado平台IP核的封装方式

作者:浅搁 FPGA2嵌入式

1. 前言