仿真

仿真是指利用计算机模拟现实系统或过程的行为,以便进行测试、分析和验证的过程。在工程和科学领域中,仿真是一种常用的工具,用于研究系统的性能、预测系统的行为、优化系统设计等。

Vivado使用技巧(18)——仿真功能概述

仿真功能概述

仿真FPGA开发中常用的功能,通过给设计注入激励和观察输出结果,验证设计的功能性。Vivado设计套件支持如下仿真工具:Vivado Simulator、Questa、ModelSim、IES、VCS、Rivera-PRO和Active-HDl。

Vivado的仿真流程如下图所示:

Vivado下的仿真入门

本文通过一个简单的例子,介绍Vivado 下的仿真过程。主要参考了miz702的教程,同时也参考了Xilinx的ug937,  xapp199.。

我的软件平台是Vivado 2015.4, 硬件平台是黑金的AC7010, Zynq 7000, 其实与平台关系不大。

本文分为四部分:工程的建立,测试代码,仿真图形输出,更复杂点的例子。

【视频教程】Simulink:仿真与基于模型的设计

Simulink 是一个面向多域仿真并和基于模型设计的框模块图环境。它支持系统级设计、仿真、自动代码生成以及嵌入式系统的连续测试和验证。在迁移到硬件之前,在 Simulink 中设计和仿真您的系统。探索和实现您原本不会考虑在内的设计 ,而无需编写 C、C++ 或 HDL 代码。