Zynq

利用 SoM 实现嵌入式微处理器/FPGA 组合设计和项目的快速运行

作者:Steve Leibson

很多嵌入式设计使用基于微处理器和微控制器的单板计算机 (SBC) 和系统级模块 (SoM)(例如,请参阅“使用 Raspberry Pi 3 构建低成本工业控制器”)。但是,更多嵌入式应用无法忍受与软件相关的响应时间所带来的延迟。

Zynq串口调试中遇到的问题

作者:圆宵,来源:FPGA那点事儿

Zynq中纯PL编程

没接触zynq之前,只用过FPGA,在FPGA中用verilog编程简单明了,后来稍微学习过一点nios ii,就在FPGA中也用过一点点nios ii。所以在刚接触zynq的时候,我就感觉zynq跟altera的FPGA和nios ii的编程肯定会有一些相似的地方。

Vivado及Zynq使用经验

1.添加debug核的合理方法是在源代码中添加(*mark_debug="true"*),综合后,打开综合结果,set debug内这些标记的信号全部在网标内,不会被优化掉。如果不在代码里加这些标记,直接在综合结果里添加net,很多感兴趣的信号会被优化掉,且残缺不全。

Zynq平台移植知识点

一、嵌入式Linux系统组成

ZYNQ之uboot,kernel,设备树,文件系统生成

Vivado:2016.4

  Linux:Ubuntu16.4

  ZYNQ:xc7z020

  下载文件名称

  2016.4-zed-release.tar.xz

  device-tree-xlnx-xilinx-v2016.4.zip

Zynq PS读写PL端BRAM

本篇文章目的是使用Block Memory进行PS和PL的数据交互或者数据共享,通过zynq PS端的Master GP0端口向BRAM写数据,然后再通过PS端的Mater GP1把数据读出来,将结果打印输出到串口终端显示。

涉及到AXI BRAM Controller 和 Block Memery Generator等IP的使用。