Vivado

Vivado 是赛灵思(Xilinx)公司推出的一款综合性的设计工具套件,用于 FPGA(现场可编程门阵列)和 SoC(系统级芯片)的设计、开发和验证。它提供了一系列功能强大的工具,帮助工程师在各种应用领域中实现高性能、低功耗的硬件设计。

Vivado: Labtools 27-3412错误

最近在上板测试的过程中,使用mark_debug跑工程后生成的bit在下载的时候遇到了问题

将自定义 IP (HDL)添加到 Vivado 模块设计(Block Design)

使用Vivado Block Design设计解决了项目继承性问题,但是还有个问题,不知道大家有没有遇到

Vivado的五种仿真模式和区别

本文介绍vivado 的仿真模式

如何在Post Synthesis工程中加入 xci文件

当使用第三方综合器比如 Synopsys® Synplify Pro或Mentor® Graphics Precision 来综合

Vivado中Aurora IP core调用

Aurora 协议是Xilinx公司针对高速传输开发的一种可裁剪的轻量级链路层协议

Vivado中常用TCL命令汇总

本文将介绍在Vivado中常用的TCL命令,并对其进行详细说明,并提供相应的操作示例。

Vivado 202x - Versal 时钟校准去歪斜的时序问题

使用“时钟校准去歪斜”时,在 Vivado 中会显示下列消息以指明是否启用该功能特性

AMD技术正助力康奈尔大学解锁物理学领域新发现

康奈尔大学在费米实验室合作开展Muon g-2实验,团队使用AMD的FPGA推动该研究领域最新成果

FPGA零基础学习之Vivado-LED流水灯实验

流水灯是大多数学习者接触到的第一个实验,也是非常经典的一个实验,在此,我们一起学习一下流水灯

如何获得ZCU104官方vivado工程

根据自己vivado版本在branch中找到相同的版本