Vivado

Vivado 是赛灵思(Xilinx)公司推出的一款综合性的设计工具套件,用于 FPGA(现场可编程门阵列)和 SoC(系统级芯片)的设计、开发和验证。它提供了一系列功能强大的工具,帮助工程师在各种应用领域中实现高性能、低功耗的硬件设计。

Vivado导入Tcl例程

从xilinx官网下载了zcu106的例程文件,打开vivado下的TCL console窗口

Vivado IP核Global 和 out of context per IP两种综合方式区别

在用vivado 生成IP核时,有两种综合方式:Global和out of context per IP。

关于 xilinx sdk软核elf文件与xilinx vivado bit文件合并的方法

在版本的Vivado 配套的 软件工具是 SDK ,当vivado中使用软核时候,需要将软核生成的elf文件

Vivado SDK生成、使用静态库

在Xilinx/Vivado环境下,针对Non-OS环境,可以使用静态库;针对Linux环境,可以使用静态库和动态库

Vivado中如何生成、例化和仿真DCP文件

在vivado-Tool-setting-project-setting-synthesis路径下,设置 -mode out_of_context

Vivado 用户指南:逻辑仿真

本文提供了仿真进程以及 Vivado® Design Suite 中的仿真选项的概述

以Vivado工具为例了解FPGA实现

FPGA的实现过程可以对综合后生成的网表进行逻辑综合优化、以及布局、布线方面的优化

​Vivado 开发软件下板验证教程

在之前的学习当中,我们已经学习了Vivado的基本操作,接下来我们将继续学习软件的下板验证过程

如何使用 Vivado 来计算器件的封装飞行时间?

本文介绍如何使用Vivado计算器件的封装延迟/封装飞行时间?

Vivado 生成BOOT.BIN

ADD 添加要合并的文件,第一个是fsbl的elf文件